aboutsummaryrefslogtreecommitdiffstats
path: root/dot_product/student_files_2015[2]/student_files_2015/prj2/quartus_proj/DE0_CAMERA/DE0_D5M.pin
diff options
context:
space:
mode:
Diffstat (limited to 'dot_product/student_files_2015[2]/student_files_2015/prj2/quartus_proj/DE0_CAMERA/DE0_D5M.pin')
-rw-r--r--dot_product/student_files_2015[2]/student_files_2015/prj2/quartus_proj/DE0_CAMERA/DE0_D5M.pin554
1 files changed, 0 insertions, 554 deletions
diff --git a/dot_product/student_files_2015[2]/student_files_2015/prj2/quartus_proj/DE0_CAMERA/DE0_D5M.pin b/dot_product/student_files_2015[2]/student_files_2015/prj2/quartus_proj/DE0_CAMERA/DE0_D5M.pin
deleted file mode 100644
index 3d3a943..0000000
--- a/dot_product/student_files_2015[2]/student_files_2015/prj2/quartus_proj/DE0_CAMERA/DE0_D5M.pin
+++ /dev/null
@@ -1,554 +0,0 @@
- -- Copyright (C) 1991-2013 Altera Corporation
- -- Your use of Altera Corporation's design tools, logic functions
- -- and other software and tools, and its AMPP partner logic
- -- functions, and any output files from any of the foregoing
- -- (including device programming or simulation files), and any
- -- associated documentation or information are expressly subject
- -- to the terms and conditions of the Altera Program License
- -- Subscription Agreement, Altera MegaCore Function License
- -- Agreement, or other applicable license agreement, including,
- -- without limitation, that your use is for the sole purpose of
- -- programming logic devices manufactured by Altera and sold by
- -- Altera or its authorized distributors. Please refer to the
- -- applicable agreement for further details.
- --
- -- This is a Quartus II output file. It is for reporting purposes only, and is
- -- not intended for use as a Quartus II input file. This file cannot be used
- -- to make Quartus II pin assignments - for instructions on how to make pin
- -- assignments, please see Quartus II help.
- ---------------------------------------------------------------------------------
-
-
-
- ---------------------------------------------------------------------------------
- -- NC : No Connect. This pin has no internal connection to the device.
- -- DNU : Do Not Use. This pin MUST NOT be connected.
- -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V).
- -- VCCIO : Dedicated power pin, which MUST be connected to VCC
- -- of its bank.
- -- Bank 1: 3.3V
- -- Bank 2: 3.3V
- -- Bank 3: 3.3V
- -- Bank 4: 3.3V
- -- Bank 5: 3.3V
- -- Bank 6: 3.3V
- -- Bank 7: 3.3V
- -- Bank 8: 3.3V
- -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND.
- -- It can also be used to report unused dedicated pins. The connection
- -- on the board for unused dedicated pins depends on whether this will
- -- be used in a future design. One example is device migration. When
- -- using device migration, refer to the device pin-tables. If it is a
- -- GND pin in the pin table or if it will not be used in a future design
- -- for another purpose the it MUST be connected to GND. If it is an unused
- -- dedicated pin, then it can be connected to a valid signal on the board
- -- (low, high, or toggling) if that signal is required for a different
- -- revision of the design.
- -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins.
- -- This pin should be connected to GND. It may also be connected to a
- -- valid signal on the board (low, high, or toggling) if that signal
- -- is required for a different revision of the design.
- -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND
- -- or leave it unconnected.
- -- RESERVED : Unused I/O pin, which MUST be left unconnected.
- -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board.
- -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor.
- -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry.
- -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high.
- ---------------------------------------------------------------------------------
-
-
-
- ---------------------------------------------------------------------------------
- -- Pin directions (input, output or bidir) are based on device operating in user mode.
- ---------------------------------------------------------------------------------
-
-Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version
-CHIP "DE0_D5M" ASSIGNED TO AN: EP3C16F484C6
-
-Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment
--------------------------------------------------------------------------------------------------------------
-GND : A1 : gnd : : : :
-VCCIO8 : A2 : power : : 3.3V : 8 :
-DRAM_ADDR[1] : A3 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_BA_1 : A4 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_ADDR[4] : A5 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_ADDR[7] : A6 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_ADDR[11] : A7 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_DQ[8] : A8 : bidir : 3.3-V LVTTL : : 8 : Y
-DRAM_DQ[10] : A9 : bidir : 3.3-V LVTTL : : 8 : Y
-DRAM_DQ[13] : A10 : bidir : 3.3-V LVTTL : : 8 : Y
-GND+ : A11 : : : : 8 :
-GND+ : A12 : : : : 7 :
-HEX1[0] : A13 : output : 3.3-V LVTTL : : 7 : Y
-HEX1[3] : A14 : output : 3.3-V LVTTL : : 7 : Y
-HEX1[6] : A15 : output : 3.3-V LVTTL : : 7 : Y
-HEX2[1] : A16 : output : 3.3-V LVTTL : : 7 : Y
-HEX2[4] : A17 : output : 3.3-V LVTTL : : 7 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 :
-HEX3[2] : A19 : output : 3.3-V LVTTL : : 7 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 :
-VCCIO7 : A21 : power : : 3.3V : 7 :
-GND : A22 : gnd : : : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AA4 : : : : 3 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 :
-VCCIO3 : AA6 : power : : 3.3V : 3 :
-GPIO_1[16] : AA7 : bidir : 3.3-V LVTTL : : 3 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 :
-GPIO_1[15] : AA9 : bidir : 3.3-V LVTTL : : 3 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 :
-GPIO_1_CLKIN[1] : AA11 : input : 3.3-V LVTTL : : 3 : Y
-GND+ : AA12 : : : : 4 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 :
-GPIO_1[6] : AA17 : bidir : 3.3-V LVTTL : : 4 : Y
-GPIO_1[5] : AA18 : bidir : 3.3-V LVTTL : : 4 : Y
-GPIO_1[2] : AA19 : bidir : 3.3-V LVTTL : : 4 : Y
-GPIO_1[0] : AA20 : bidir : 3.3-V LVTTL : : 4 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 :
-GND : AB1 : gnd : : : :
-VCCIO3 : AB2 : power : : 3.3V : 3 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 :
-GND : AB6 : gnd : : : :
-GPIO_1[17] : AB7 : bidir : 3.3-V LVTTL : : 3 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 :
-GPIO_1[14] : AB9 : bidir : 3.3-V LVTTL : : 3 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 3 :
-GPIO_1_CLKIN[0] : AB11 : input : 3.3-V LVTTL : : 3 : Y
-GND+ : AB12 : : : : 4 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 :
-GPIO_1[7] : AB17 : bidir : 3.3-V LVTTL : : 4 : Y
-GPIO_1[4] : AB18 : bidir : 3.3-V LVTTL : : 4 : Y
-GPIO_1[3] : AB19 : bidir : 3.3-V LVTTL : : 4 : Y
-GPIO_1[1] : AB20 : bidir : 3.3-V LVTTL : : 4 : Y
-VCCIO4 : AB21 : power : : 3.3V : 4 :
-GND : AB22 : gnd : : : :
-LEDG[9] : B1 : output : 3.3-V LVTTL : : 1 : Y
-LEDG[8] : B2 : output : 3.3-V LVTTL : : 1 : Y
-DRAM_ADDR[2] : B3 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_ADDR[10] : B4 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_BA_0 : B5 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_ADDR[6] : B6 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_ADDR[9] : B7 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_UDQM : B8 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_DQ[9] : B9 : bidir : 3.3-V LVTTL : : 8 : Y
-DRAM_DQ[12] : B10 : bidir : 3.3-V LVTTL : : 8 : Y
-GND+ : B11 : : : : 8 :
-GND+ : B12 : : : : 7 :
-HEX1[1] : B13 : output : 3.3-V LVTTL : : 7 : Y
-HEX1[4] : B14 : output : 3.3-V LVTTL : : 7 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 :
-HEX2[2] : B16 : output : 3.3-V LVTTL : : 7 : Y
-HEX2[5] : B17 : output : 3.3-V LVTTL : : 7 : Y
-HEX3[0] : B18 : output : 3.3-V LVTTL : : 7 : Y
-HEX3[3] : B19 : output : 3.3-V LVTTL : : 7 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 7 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 :
-LEDG[6] : C1 : output : 3.3-V LVTTL : : 1 : Y
-LEDG[7] : C2 : output : 3.3-V LVTTL : : 1 : Y
-DRAM_ADDR[3] : C3 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_ADDR[0] : C4 : output : 3.3-V LVTTL : : 8 : Y
-GND : C5 : gnd : : : :
-DRAM_ADDR[5] : C6 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_ADDR[8] : C7 : output : 3.3-V LVTTL : : 8 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 :
-GND : C9 : gnd : : : :
-DRAM_DQ[11] : C10 : bidir : 3.3-V LVTTL : : 8 : Y
-GND : C11 : gnd : : : :
-GND : C12 : gnd : : : :
-HEX1[2] : C13 : output : 3.3-V LVTTL : : 7 : Y
-GND : C14 : gnd : : : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7 :
-GND : C16 : gnd : : : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : C17 : : : : 7 :
-GND : C18 : gnd : : : :
-HEX3[4] : C19 : output : 3.3-V LVTTL : : 7 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 :
-~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : input : 3.3-V LVTTL : : 1 : N
-SW[9] : D2 : input : 3.3-V LVTTL : : 1 : Y
-GND : D3 : gnd : : : :
-VCCIO1 : D4 : power : : 3.3V : 1 :
-VCCIO8 : D5 : power : : 3.3V : 8 :
-DRAM_WE_N : D6 : output : 3.3-V LVTTL : : 8 : Y
-GND : D7 : gnd : : : :
-GND : D8 : gnd : : : :
-VCCIO8 : D9 : power : : 3.3V : 8 :
-DRAM_DQ[0] : D10 : bidir : 3.3-V LVTTL : : 8 : Y
-VCCIO8 : D11 : power : : 3.3V : 8 :
-VCCIO7 : D12 : power : : 3.3V : 7 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 :
-VCCIO7 : D14 : power : : 3.3V : 7 :
-HEX2[0] : D15 : output : 3.3-V LVTTL : : 7 : Y
-VCCIO7 : D16 : power : : 3.3V : 7 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7 :
-VCCIO7 : D18 : power : : 3.3V : 7 :
-HEX3[5] : D19 : output : 3.3-V LVTTL : : 7 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : D20 : : : : 6 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 :
-LEDG[5] : E1 : output : 3.3-V LVTTL : : 1 : Y
-~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : E2 : input : 3.3-V LVTTL : : 1 : N
-SW[7] : E3 : input : 3.3-V LVTTL : : 1 : Y
-SW[8] : E4 : input : 3.3-V LVTTL : : 1 : Y
-DRAM_CLK : E5 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_CKE : E6 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_LDQM : E7 : output : 3.3-V LVTTL : : 8 : Y
-VCCIO8 : E8 : power : : 3.3V : 8 :
-DRAM_DQ[3] : E9 : bidir : 3.3-V LVTTL : : 8 : Y
-DRAM_DQ[14] : E10 : bidir : 3.3-V LVTTL : : 8 : Y
-HEX0[0] : E11 : output : 3.3-V LVTTL : : 7 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 :
-HEX1[5] : E14 : output : 3.3-V LVTTL : : 7 : Y
-HEX2[3] : E15 : output : 3.3-V LVTTL : : 7 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : E16 : : : : 7 :
-VCCD_PLL2 : E17 : power : : 1.2V : :
-GNDA2 : E18 : gnd : : : :
-VCCIO6 : E19 : power : : 3.3V : 6 :
-GND : E20 : gnd : : : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 :
-KEY[2] : F1 : input : 3.3-V LVTTL : : 1 : Y
-LEDG[4] : F2 : output : 3.3-V LVTTL : : 1 : Y
-GND : F3 : gnd : : : :
-VCCIO1 : F4 : power : : 3.3V : 1 :
-GNDA3 : F5 : gnd : : : :
-VCCD_PLL3 : F6 : power : : 1.2V : :
-DRAM_RAS_N : F7 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_DQ[7] : F8 : bidir : 3.3-V LVTTL : : 8 : Y
-DRAM_DQ[4] : F9 : bidir : 3.3-V LVTTL : : 8 : Y
-DRAM_DQ[15] : F10 : bidir : 3.3-V LVTTL : : 8 : Y
-HEX0[1] : F11 : output : 3.3-V LVTTL : : 7 : Y
-HEX0[5] : F12 : output : 3.3-V LVTTL : : 7 : Y
-HEX0[6] : F13 : output : 3.3-V LVTTL : : 7 : Y
-HEX2[6] : F14 : output : 3.3-V LVTTL : : 7 : Y
-HEX3[1] : F15 : output : 3.3-V LVTTL : : 7 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 :
-VCCA2 : F18 : power : : 2.5V : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 :
-GND+ : G1 : : : : 1 :
-GND+ : G2 : : : : 1 :
-KEY[1] : G3 : input : 3.3-V LVTTL : : 1 : Y
-SW[3] : G4 : input : 3.3-V LVTTL : : 1 : Y
-SW[4] : G5 : input : 3.3-V LVTTL : : 1 : Y
-VCCA3 : G6 : power : : 2.5V : :
-DRAM_CS_N : G7 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_CAS_N : G8 : output : 3.3-V LVTTL : : 8 : Y
-DRAM_DQ[5] : G9 : bidir : 3.3-V LVTTL : : 8 : Y
-DRAM_DQ[1] : G10 : bidir : 3.3-V LVTTL : : 8 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : G11 : : : : 8 :
-HEX0[4] : G12 : output : 3.3-V LVTTL : : 7 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : G13 : : : : 7 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : G14 : : : : 7 :
-HEX3[6] : G15 : output : 3.3-V LVTTL : : 7 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : G16 : : : : 7 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : G18 : : : : 6 :
-VCCIO6 : G19 : power : : 3.3V : 6 :
-GND : G20 : gnd : : : :
-CLOCK_50 : G21 : input : 3.3-V LVTTL : : 6 : Y
-GND+ : G22 : : : : 6 :
-LEDG[3] : H1 : output : 3.3-V LVTTL : : 1 : Y
-KEY[0] : H2 : input : 3.3-V LVTTL : : 1 : Y
-GND : H3 : gnd : : : :
-VCCIO1 : H4 : power : : 3.3V : 1 :
-SW[1] : H5 : input : 3.3-V LVTTL : : 1 : Y
-SW[2] : H6 : input : 3.3-V LVTTL : : 1 : Y
-SW[6] : H7 : input : 3.3-V LVTTL : : 1 : Y
-GND : H8 : gnd : : : :
-DRAM_DQ[6] : H9 : bidir : 3.3-V LVTTL : : 8 : Y
-DRAM_DQ[2] : H10 : bidir : 3.3-V LVTTL : : 8 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 :
-HEX0[2] : H12 : output : 3.3-V LVTTL : : 7 : Y
-HEX0[3] : H13 : output : 3.3-V LVTTL : : 7 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : H15 : : : : 7 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : H16 : : : : 6 :
-VGA_R[1] : H17 : output : 3.3-V LVTTL : : 6 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 :
-VGA_R[0] : H19 : output : 3.3-V LVTTL : : 6 : Y
-VGA_R[2] : H20 : output : 3.3-V LVTTL : : 6 : Y
-VGA_R[3] : H21 : output : 3.3-V LVTTL : : 6 : Y
-VGA_G[0] : H22 : output : 3.3-V LVTTL : : 6 : Y
-LEDG[0] : J1 : output : 3.3-V LVTTL : : 1 : Y
-LEDG[1] : J2 : output : 3.3-V LVTTL : : 1 : Y
-LEDG[2] : J3 : output : 3.3-V LVTTL : : 1 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1 :
-GND : J5 : gnd : : : :
-SW[0] : J6 : input : 3.3-V LVTTL : : 1 : Y
-SW[5] : J7 : input : 3.3-V LVTTL : : 1 : Y
-VCCINT : J8 : power : : 1.2V : :
-GND : J9 : gnd : : : :
-VCCINT : J10 : power : : 1.2V : :
-VCCINT : J11 : power : : 1.2V : :
-VCCINT : J12 : power : : 1.2V : :
-VCCINT : J13 : power : : 1.2V : :
-VCCINT : J14 : power : : 1.2V : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : J16 : : : : 6 :
-VGA_G[1] : J17 : output : 3.3-V LVTTL : : 6 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 :
-GND : J19 : gnd : : : :
-VCCIO6 : J20 : power : : 3.3V : 6 :
-VGA_G[3] : J21 : output : 3.3-V LVTTL : : 6 : Y
-VGA_B[2] : J22 : output : 3.3-V LVTTL : : 6 : Y
-~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : input : 3.3-V LVTTL : : 1 : N
-~ALTERA_DCLK~ : K2 : output : 3.3-V LVTTL : : 1 : N
-GND : K3 : gnd : : : :
-VCCIO1 : K4 : power : : 3.3V : 1 :
-nCONFIG : K5 : : : : 1 :
-nSTATUS : K6 : : : : 1 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : K7 : : : : 1 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1 :
-VCCINT : K9 : power : : 1.2V : :
-GND : K10 : gnd : : : :
-GND : K11 : gnd : : : :
-GND : K12 : gnd : : : :
-GND : K13 : gnd : : : :
-VCCINT : K14 : power : : 1.2V : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : K16 : : : : 6 :
-VGA_G[2] : K17 : output : 3.3-V LVTTL : : 6 : Y
-VGA_B[3] : K18 : output : 3.3-V LVTTL : : 6 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 :
-MSEL3 : K20 : : : : 6 :
-VGA_B[1] : K21 : output : 3.3-V LVTTL : : 6 : Y
-VGA_B[0] : K22 : output : 3.3-V LVTTL : : 6 : Y
-TMS : L1 : input : : : 1 :
-TCK : L2 : input : : : 1 :
-nCE : L3 : : : : 1 :
-TDO : L4 : output : : : 1 :
-TDI : L5 : input : : : 1 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : L6 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : L7 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1 :
-VCCINT : L9 : power : : 1.2V : :
-GND : L10 : gnd : : : :
-GND : L11 : gnd : : : :
-GND : L12 : gnd : : : :
-GND : L13 : gnd : : : :
-VCCINT : L14 : power : : 1.2V : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : L16 : : : : 6 :
-MSEL2 : L17 : : : : 6 :
-MSEL1 : L18 : : : : 6 :
-VCCIO6 : L19 : power : : 3.3V : 6 :
-GND : L20 : gnd : : : :
-VGA_HS : L21 : output : 3.3-V LVTTL : : 6 : Y
-VGA_VS : L22 : output : 3.3-V LVTTL : : 6 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : M5 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : M6 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : M7 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 :
-VCCINT : M9 : power : : 1.2V : :
-GND : M10 : gnd : : : :
-GND : M11 : gnd : : : :
-GND : M12 : gnd : : : :
-GND : M13 : gnd : : : :
-VCCINT : M14 : power : : 1.2V : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : M16 : : : : 5 :
-MSEL0 : M17 : : : : 6 :
-CONF_DONE : M18 : : : : 6 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : M19 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 :
-GND : N3 : gnd : : : :
-VCCIO2 : N4 : power : : 3.3V : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : N6 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : N7 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 :
-VCCINT : N9 : power : : 1.2V : :
-GND : N10 : gnd : : : :
-GND : N11 : gnd : : : :
-GND : N12 : gnd : : : :
-GND : N13 : gnd : : : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : N16 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : N17 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : P2 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : P6 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : P7 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 :
-VCCINT : P9 : power : : 1.2V : :
-VCCINT : P10 : power : : 1.2V : :
-VCCINT : P11 : power : : 1.2V : :
-VCCINT : P12 : power : : 1.2V : :
-VCCINT : P13 : power : : 1.2V : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : P16 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : P17 : : : : 5 :
-VCCIO5 : P18 : power : : 3.3V : 5 :
-GND : P19 : gnd : : : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 :
-GND : R3 : gnd : : : :
-VCCIO2 : R4 : power : : 3.3V : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : R6 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : R8 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 :
-GPIO_1[22] : R11 : bidir : 3.3-V LVTTL : : 3 : Y
-GPIO_1[23] : R12 : bidir : 3.3-V LVTTL : : 3 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 :
-GPIO_1[19] : R14 : bidir : 3.3-V LVTTL : : 4 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 4 :
-GPIO_1_CLKOUT[0] : R16 : output : 3.3-V LVTTL : : 4 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : R17 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : R19 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : R21 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 :
-GND+ : T1 : : : : 2 :
-GND+ : T2 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : T4 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 :
-VCCA1 : T6 : power : : 2.5V : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : T7 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : T8 : : : : 3 :
-GPIO_1[27] : T9 : bidir : 3.3-V LVTTL : : 3 : Y
-GPIO_1[25] : T10 : bidir : 3.3-V LVTTL : : 3 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : T11 : : : : 3 :
-GPIO_1[21] : T12 : bidir : 3.3-V LVTTL : : 4 : Y
-VCCINT : T13 : power : : 1.2V : :
-GPIO_1[18] : T14 : bidir : 3.3-V LVTTL : : 4 : Y
-GPIO_1[11] : T15 : bidir : 3.3-V LVTTL : : 4 : Y
-GPIO_1_CLKOUT[1] : T16 : output : 3.3-V LVTTL : : 4 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : T17 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 :
-VCCIO5 : T19 : power : : 3.3V : 5 :
-GND : T20 : gnd : : : :
-GND+ : T21 : : : : 5 :
-GND+ : T22 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 :
-GND : U3 : gnd : : : :
-VCCIO2 : U4 : power : : 3.3V : 2 :
-GNDA1 : U5 : gnd : : : :
-VCCD_PLL1 : U6 : power : : 1.2V : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 :
-GPIO_1[29] : U8 : bidir : 3.3-V LVTTL : : 3 : Y
-GPIO_1[26] : U9 : bidir : 3.3-V LVTTL : : 3 : Y
-GPIO_1[24] : U10 : bidir : 3.3-V LVTTL : : 3 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : U11 : : : : 3 :
-GPIO_1[20] : U12 : bidir : 3.3-V LVTTL : : 4 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : U13 : : : : 4 :
-VGA_CLK : U14 : output : 3.3-V LVTTL : : 4 : N
-GPIO_1[10] : U15 : bidir : 3.3-V LVTTL : : 4 : Y
-VCCINT : U16 : power : : 1.2V : :
-VCCINT : U17 : power : : 1.2V : :
-VCCA4 : U18 : power : : 2.5V : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : V2 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 :
-GPIO_1[30] : V6 : bidir : 3.3-V LVTTL : : 3 : Y
-GPIO_1[31] : V7 : bidir : 3.3-V LVTTL : : 3 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 3 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 :
-GPIO_1[13] : V15 : bidir : 3.3-V LVTTL : : 4 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 :
-VCCD_PLL4 : V17 : power : : 1.2V : :
-GNDA4 : V18 : gnd : : : :
-VCCIO5 : V19 : power : : 3.3V : 5 :
-GND : V20 : gnd : : : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 :
-GND : W3 : gnd : : : :
-VCCIO2 : W4 : power : : 3.3V : 2 :
-VCCIO3 : W5 : power : : 3.3V : 3 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 :
-VCCIO3 : W9 : power : : 3.3V : 3 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 :
-VCCIO3 : W11 : power : : 3.3V : 3 :
-VCCIO4 : W12 : power : : 3.3V : 4 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 :
-GPIO_1[12] : W15 : bidir : 3.3-V LVTTL : : 4 : Y
-VCCIO4 : W16 : power : : 3.3V : 4 :
-GPIO_1[9] : W17 : bidir : 3.3-V LVTTL : : 4 : Y
-VCCIO4 : W18 : power : : 3.3V : 4 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : W21 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 2 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 :
-GND : Y5 : gnd : : : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 :
-GPIO_1[28] : Y7 : bidir : 3.3-V LVTTL : : 3 : Y
-RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 :
-GND : Y9 : gnd : : : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 :
-GND : Y11 : gnd : : : :
-GND : Y12 : gnd : : : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 :
-VCCIO4 : Y14 : power : : 3.3V : 4 :
-GND : Y15 : gnd : : : :
-GND : Y16 : gnd : : : :
-GPIO_1[8] : Y17 : bidir : 3.3-V LVTTL : : 4 : Y
-GND : Y18 : gnd : : : :
-VCCIO5 : Y19 : power : : 3.3V : 5 :
-GND : Y20 : gnd : : : :
-RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 :
-RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 :